Welcome![Sign In][Sign Up]
Location:
Search - display vga vhdl

Search list

[VHDL-FPGA-Verilogvhdl_vga

Description: 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for use with the use of modules : VGA, pulse along the module, module clock source. Use steps : 1. Turn the power 5V 2. Signal connectivity, the table below will 1K30 signal with the actual module linking well. 3. 1K30 good parallel plate connections and will be loading procedures. 4. Will the line color display with VGA interface connector good. 5. Choi of the signal can be generated in the display, along the pulse button MS1 module can change color of the produce
Platform: | Size: 95232 | Author: 刘浪 | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[Booksvgavhdl

Description: vga显示驱动,在EDA中实现对VGA显示器的驱动控制。-vga display driver, in EDA to achieve VGA display drive control.
Platform: | Size: 111616 | Author: 陈利锋 | Hits:

[VHDL-FPGA-VerilogVGAimagecontrollor

Description: VGA图象显示控制器设计,实现在VGA显示器上显示图象.-VGA image display controller designed to achieve the VGA display shows images.
Platform: | Size: 1024 | Author: 刘叶 | Hits:

[VHDL-FPGA-Verilogvhdlvga

Description: Language writes with VHDL demonstrates the design on the monitor the source program用VHDL 语言写的在显示器上显示图案的程序-writes with VHDL Language demonstrates th e design on the monitor program with the source VHDL The language was on display in the pictorial procedures
Platform: | Size: 91136 | Author: jerry | Hits:

[Software EngineeringVGA_FPGA

Description: VGA显示的FPGA实现方法, VGA显示的FPGA实现方法,-VGA display FPGA, VGA display FPGA,
Platform: | Size: 84992 | Author: | Hits:

[Embeded-SCM DevelopEP1C6_12_4_VgaPb

Description: 基于fpga和sopc的用VHDL语言编写的EDA的VGA图像显示控制器-FPGA and SOPC based on the use of VHDL language EDA s VGA graphics display controller
Platform: | Size: 147456 | Author: 多幅撒 | Hits:

[Embeded-SCM DevelopEP1C6_12_12_VGAgame

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2和VGA控制显示控制器-FPGA and SOPC based on the use of VHDL language EDA s PS/2 and VGA display controller to control
Platform: | Size: 27648 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogFPGA_VGA

Description: 基于FPGA的高分辨率VGA显示控制器的设计-FPGA-based high-resolution VGA display controller design
Platform: | Size: 291840 | Author: 火冰 | Hits:

[Graph programVGA

Description: 用VERILOG写的VGA显示代码,经本人调试确定可以正常运行-VERILOG written with VGA display code, as I confirmed to be the normal operation of debugging
Platform: | Size: 618496 | Author: 李剑 | Hits:

[Picture Viewervga_moving_pixel

Description: 该项目在VGA显示器上显示一个移动的光点,并且光点的颜色还可以改变。使用VerilogHDL 语言编写,在Altera公司的QuartusII开发环境下验证通过。-The project in the VGA display to show a moving spot, and spot colors can be changed also. VerilogHDL language used in Altera' s development environment QuartusII verification through.
Platform: | Size: 15360 | Author: submars | Hits:

[VHDL-FPGA-VerilogVGAdisplay

Description: VHDL入门实验。256色VGA显示驱动 开发软件Quartus II 6.0 芯片EP2c8Q208-VHDL entry experiment. 256-color VGA display driver development software Quartus II 6.0 chip EP2c8Q208
Platform: | Size: 421888 | Author: 唐锐 | Hits:

[VHDL-FPGA-Verilogvga

Description: vga显示时序控制,vhdl产生所必需的时序-vga display timing
Platform: | Size: 70656 | Author: xinxin | Hits:

[VHDL-FPGA-Verilogvga_colors

Description: 通过vga通讯控制显示器显示七彩条文,通过quartus编译的程序,可用-Communication and Control through the vga display colorful provisions quartus compiled through the procedures that can be used
Platform: | Size: 276480 | Author: 夏英杰 | Hits:

[OtherVGA_chardisplay

Description: files describe a example display character to vga
Platform: | Size: 234496 | Author: tieu khang | Hits:

[Graph programVGA

Description: 一个VGA显示的简单例子,学习如何做VGA显示-VGA shows a simple example, learning how to do VGA display
Platform: | Size: 1162240 | Author: chengliu | Hits:

[Othervga

Description: 在显示器上画虚线方框和虚线圆,并且方框和圆都能扩大、缩小。-Dashed line painted on the display boxes and dotted circle, and the boxes and circle can expand and narrow
Platform: | Size: 1587200 | Author: 杨译名 | Hits:

[Embeded-SCM Developvga

Description: 用vhdl写的一个vga的显示程序,包括仿真文件-vga display program vhdl, including the simulation file
Platform: | Size: 355328 | Author: eingates | Hits:

[VHDL-FPGA-VerilogVGA

Description: 用FPGA驱动VGA显示器并控制VGA显示部分俄罗斯方块以及横条、竖条、棋盘格等-Driving with FPGA VGA VGA display and control the display part of the Russian box and bar, vertical bar, checkerboard, etc.
Platform: | Size: 1187840 | Author: Haifengqingfu | Hits:

[VHDL-FPGA-Verilogvga

Description: 基于QuartusII 6.0 环境的vga驱动程序,所用芯片为EP1C6Q240C8,开发板时钟50M,显示模式800*600,72Hz,内容是在频幕显示几条直线。-Environment based on QuartusII 6.0 vga drivers, the chips for the EP1C6Q240C8, development board clock 50M, the display mode 800* 600,72 Hz, the frequency content of screen displays several lines.
Platform: | Size: 220160 | Author: x_metal | Hits:
« 1 23 4 5 6 7 8 9 10 »

CodeBus www.codebus.net